Thèse soutenue

Etude des propriétés et de l'intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm

FR  |  
EN
Auteur / Autrice : Sonarith Chhun
Direction : Jean-Claude DupuyLaurent Gosset
Type : Thèse de doctorat
Discipline(s) : Dispositifs de l’électronique intégrée
Date : Soutenance en 2006
Etablissement(s) : Lyon, INSA
Partenaire(s) de recherche : Laboratoire : LPM - Laboratoire de Physique de la Matière (1961-2007)

Mots clés

FR

Mots clés contrôlés

Résumé

FR  |  
EN

Les barrières diélectriques contribuent à la dégradation des performances de propagation du signal et de fiabilité qui s'accentue avec la réduction des dimensions des interconnexions. Afin de supprimer la contribution de la barrière diélectrique au couplage capacitif parasite latéral et d'améliorer l'adhésion à l'interface supérieure du cuivre, qui limite actuellement la durée de vie des interconnexions, il est possible de remplacer ces barrières diélectriques par des barrières auto-positionnées. Par contre, si seul un gain sur la fiabilité est recherché, alors, des procédés auto-positionnés avant le dépôt d'une barrière diélectrique (empilement hybride) peuvent être introduits pour améliorer l'adhésion entre le cuivre et celle-ci. Dans cette étude, nous évaluons deux procédés auto-positionnés sur cuivre. Le procédé CuSiN consiste à incorporer sélectivement du silicium (siliciuration) et de l'azote (nitruration) dans le cuivre pour former du CuSiN. Nous avons mis en évidence les paramètres critiques clefs pour la maîtrise d'un tel procédé. Le procédé CoWP / B est fondé sur la croissance auto-catalytique en phase liquide d'un alliage CoWP / B sur du cuivre. L'évaluation des propriétés barrières des matériaux auto-positionnés montre que contrairement au procédé CuSiN, le CoWP / B, avec les épaisseurs et les chimies étudiées, n'est ni une barrière contre la diffusion du cuivre, ni résistant contre l'oxydation. De ce fait, seul le CuSiN peut être intégré en tant que barrière auto-positionnée. Les procédés CuSiN intégrés dans un empilement hybride ou en tant que barrières auto-positionnées sont sélectifs et compatibles avec les matériaux et les procédés d'intégration utilisés. En ce qui concerne l'intégration du CoWP / B dans un empilement hybride, deux étapes sont critiques, la gravure et le nettoyage post-gravure dont les chimies interagissent avec le CoWP / B. Nous avons réussi à intégrer et à préserver l'intégrité du CoWP / B sans dégrader les performances électriques des interconnexions. En terme de fiabilité, pour une génération 65 nm, les procédés CuSiN améliorent la durée de vie des interconnexions d'un facteur 10, alors qu'un CoWP / B de 5nm l'améliore d'au moins un facteur 70. L'introduction du CuSiN dés le noeud 45 nm permettrait de satisfaire rapidement le critère de fiabilité alors que le CoWP / B, qui permet de résister à de plus fortes densités de courant, permettrait de satisfaire les critères de fiabilité des générations technologiques les plus avancées.